Commit 7bfa058a authored by Hans Leidekker's avatar Hans Leidekker Committed by Alexandre Julliard

wbemprox: WQL string comparisons are case insensitive.

parent dd5ce029
......@@ -118,22 +118,22 @@ static HRESULT eval_strcmp( UINT op, const WCHAR *lstr, const WCHAR *rstr, LONGL
switch (op)
{
case OP_EQ:
*val = !wcscmp( lstr, rstr );
*val = !wcsicmp( lstr, rstr );
break;
case OP_GT:
*val = wcscmp( lstr, rstr ) > 0;
*val = wcsicmp( lstr, rstr ) > 0;
break;
case OP_LT:
*val = wcscmp( lstr, rstr ) < 0;
*val = wcsicmp( lstr, rstr ) < 0;
break;
case OP_LE:
*val = wcscmp( lstr, rstr ) <= 0;
*val = wcsicmp( lstr, rstr ) <= 0;
break;
case OP_GE:
*val = wcscmp( lstr, rstr ) >= 0;
*val = wcsicmp( lstr, rstr ) >= 0;
break;
case OP_NE:
*val = wcscmp( lstr, rstr );
*val = wcsicmp( lstr, rstr );
break;
case OP_LIKE:
*val = eval_like( lstr, rstr );
......
......@@ -2143,6 +2143,28 @@ static void test_Win32_LogicalDisk( IWbemServices *services )
IEnumWbemClassObject_Release( result );
SysFreeString( query );
query = SysAllocString( L"SELECT * FROM Win32_LogicalDisk WHERE DeviceID > 'b:' AND DeviceID < 'd:'" );
hr = IWbemServices_ExecQuery( services, wql, query, 0, NULL, &result );
ok( hr == S_OK, "got %#lx\n", hr );
count = 0;
hr = IEnumWbemClassObject_Next( result, 10000, 1, &obj, &count );
ok( hr == S_OK, "got %#lx\n", hr );
ok( count == 1, "got %lu\n", count );
IWbemClassObject_Release( obj );
SysFreeString( query );
query = SysAllocString( L"SELECT * FROM Win32_LogicalDisk WHERE DeviceID = 'C:'" );
hr = IWbemServices_ExecQuery( services, wql, query, 0, NULL, &result );
ok( hr == S_OK, "got %#lx\n", hr );
count = 0;
hr = IEnumWbemClassObject_Next( result, 10000, 1, &obj, &count );
ok( hr == S_OK, "got %#lx\n", hr );
ok( count == 1, "got %lu\n", count );
IWbemClassObject_Release( obj );
SysFreeString( query );
IEnumWbemClassObject_Release( result );
SysFreeString( query );
SysFreeString( wql );
}
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment