Commit 766611b7 authored by Francois Gouget's avatar Francois Gouget Committed by Alexandre Julliard

imagehlp: Fix the name of the ADDRESS_MODE enum.

parent 570aad50
...@@ -90,7 +90,7 @@ typedef enum _IMAGEHLP_STATUS_REASON { ...@@ -90,7 +90,7 @@ typedef enum _IMAGEHLP_STATUS_REASON {
#define CHECKSUM_MAPVIEW_FAILURE 3 #define CHECKSUM_MAPVIEW_FAILURE 3
#define CHECKSUM_UNICODE_FAILURE 4 #define CHECKSUM_UNICODE_FAILURE 4
typedef enum _ADRESS_MODE { typedef enum _ADDRESS_MODE {
AddrMode1616, AddrMode1616,
AddrMode1632, AddrMode1632,
AddrModeReal, AddrModeReal,
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment